понедельник, 23 апреля 2018 г.

Логический анализатор SignalTap на примере счетчика Грея.

Логический анализатор SignalTap на примере счетчика Грея.

Когда вы отлаживаете МК, то у вас на виду текущее значение регистров и место где сейчас находится выполнение программы, можно в режиме реального времени изменять регистры и выполнять программу по шагам. В ПЛИС никаких регистров нет, а тем более программы, как же там происходит отладка? В данной статье мы покажем, как пользоваться встроенным логическим анализатором Quartus SignalTap. в качестве подопытной схемы выступит счетчик в коде Грея. На самом деле SignalTapпредоставляет огромные возможности по отладке проектов. По сути дела он позволяет заглянуть внутрь микросхемы и посмотреть на временные диаграммы интересующих нас сигналов.

Комментариев нет:

Отправить комментарий

Плата Sipeed Longan Nano - RISC-V GD32VF103CBT6, инструкция по разработке. Часть 2.

Плата Sipeed Longan Nano - RISC-V GD32VF103CBT6, инструкция по разработке. Часть 2. После того, как мы ознакомились с платой  Sipeed Longan...