понедельник, 16 октября 2017 г.

Нововведения языка SystemVerilog.

Нововведения языка SystemVerilog.

В данной статье показаны некоторые нововведения языка SystemVerilog в области организации данных по сравнению с Verilog-2001 для синтезируемого подмножества языка.



История SystemVerilog довольна длина и туманна. Начавшись после принятия стандарта Verilog-95, она вылилась в Verilog-2001. Однако язык не слишком подходил для верификации, инженеры использовании языки семейства «E» — VERA, Testbuider. В современном виде SystemVerilog появился после 2005 года. Сейчас средства синтеза и моделирование такие как Quartus II, ISE, ModelSim/QuestaSim уверенно поддерживают SystemVerilog. Стандарт называется IEEE 1800-2012.

Комментариев нет:

Отправить комментарий

Плата Sipeed Longan Nano - RISC-V GD32VF103CBT6, инструкция по разработке. Часть 2.

Плата Sipeed Longan Nano - RISC-V GD32VF103CBT6, инструкция по разработке. Часть 2. После того, как мы ознакомились с платой  Sipeed Longan...